Como usar o Verilog HDL no Ubuntu?

7

Eu tentei começar um curso pequeno com alguns exemplos em Verilog Hdl e depois de passar muito tempo procurando pelo melhor Simulator ou IDE para praticar com alguns exemplos, não consegui descobrir como usá-lo no Ubuntu 12.04

Então, eu tenho duas perguntas:

  1. Como posso usar o Verilog no Ubuntu 12.04?

  2. Qual é o melhor simulador (ou IDE) disponível para Linux?

por amrro 15.11.2012 / 12:42

3 respostas

3

Você pode baixar o simulador Verilog do SynpatiCAD, que é compatível com o Ubuntu. Inclui um simulador de linha de comando e um IDE gráfico. Depois de instalá-lo, você pode executar a ferramenta e solicitar uma licença gratuita de 6 meses para o simulador.

    
por Dan Notestein 17.11.2012 / 06:58
4

Esta postagem ajuda: Verilog e VHDL no Linux (Ubuntu) ?

Recomenda o uso combinado de Icarus Verilog ( iverilog em repositórios) para a simulação Verilog, GHDL para simulação de VHDL, e GTKWave ( gtkwave em repositórios) para visualização de formas de onda.

Se você quiser um editor Verilog baseado no Eclipse, tente veditor .

    
por Tom Regner 15.11.2012 / 15:52
-1

Tente este comando em uma janela de terminal:

sudo apt-get install gplcver
    
por linux operator 16.09.2013 / 10:38