Execute o verilog no Mac

0

Eu preciso simular verilog no meu Macbook Pro para a escola. Eu tentei baixar, configurar e instalar icarus e veriwell. O make test funciona para icarus, mas não para veriwell, nenhum deles é reconhecido pelo terminal.

O comando que estou usando é:

iverilog hello.vl

e

veriwell hello.vl

O terminal afirma que nenhum desses comandos existe ...

Sou muito novo na configuração de ferramentas com o terminal, mas acho que o problema é apenas USAR o programa depois. Alguma idéia?

    
por Wuffers 20.03.2011 / 00:56

2 respostas

0

Eu não tentei veriwell mas eu uso Icarus e GTKWave para um ambiente de simulação de verilog.

Eu uso o modo verilog em Aquamacs como editor de realce de sintaxe.

Pegue seu hello.vl (usando apenas .v é mais usual) e compile usando

iverilog -o hello hello.vl

Isso criará um arquivo de objeto chamado hello. Para executá-lo, digite

vpp hello

Uma vez que você comece a escrever bancos de testes, você desejará despejar um arquivo wave. Você faz isso adicionando isso ao seu banco de testes.

initial
 begin
  $dumpfile("wave.lxt");
  $dumpvars(0, <test bench module>);
 end

Você pode então carregar o wave.lxt no GTKWave (note que você precisa do X11 para usar o GTKWave).

Um pouco mais avançado, você pode configurar Aquamacs para usar Icarus para compilar seu código, para que você saiba se você tem um erro de compilação antes de sair do editor.

    
por 21.03.2011 / 13:03
0

Você fez um make install ? Assumindo isso, certifique-se de que o diretório para o qual ele está instalado esteja em $PATH . Se você estiver executando o csh / tcsh, pode ser necessário executar o comando rehash do shell para encontrar novos programas no caminho.

Aqui estão duas perguntas semelhantes:

por 24.03.2011 / 21:55

Tags