Use o aplicativo 14.04 em 15.04

1

Estou executando o 15.04. Eu preciso instalar o ghdl . No entanto, depois de adicionar o repositório quando eu faço

apt-get update

Eu continuo recebendo

failed to fetch http://ppa.launchpad.net/pgavin/ghdl/ubuntu/dists/vivid/main/binary-i386/Packages 

Por que isso acontece?

    
por Speedy Ram 03.08.2015 / 11:13

3 respostas

2

Não há pacotes para 15.04 neste ppa, mas os de 14.04 (confiáveis) podem funcionar.

Você pode baixar diretamente um arquivo deb do PPA.

Clique em View Package details e baixe um deb de 32 ou 64 bits.

32 bits

64 bits

    
por Pilot6 03.08.2015 / 11:21
1

Como disse o @Pilot6, não há pacotes para a Trusty.

Nesse caso , não é um problema usar os pacotes Trusty e não há problema em usar o arquivo list dos pacotes Trusty para obter atualizações. O que você precisa é a instalação de alguns pacotes adicionais da Trusty.

Aqui o exemplo para 32 bits. Para pacotes de 64 bits, selecione seu download nos seguintes sites: libgnat-4.8 , gnat-4.8-base

  • libgnat-4.8 (32 bits)

    cd
    wget http://mirrors.kernel.org/ubuntu/pool/universe/g/gnat-4.8/libgnat-4.8_4.8.2-8ubuntu3_i386.deb
    
  • gnat-4.8-base (32 bits)

    cd
    wget http://mirrors.kernel.org/ubuntu/pool/universe/g/gnat-4.8/gnat-4.8-base_4.8.2-8ubuntu3_i386.deb
    
  • Instale os arquivos deb

    sudo dpkg -i ~/Downloads/gnat-4.8-base_4.8.2-8ubuntu3_i386.deb
    sudo dpkg -i ~/Downloads/libgnat-4.8_4.8.2-8ubuntu3_i386.deb
    

Depois disso, substitua vivid por trusty no seu arquivo list :

sudo nano /etc/apt/sources.list.d/pgavin-ubuntu-ghdl-vivid.list

Ou como one-liner

sudo sed -i 's/vivid/trusty/' /etc/apt/sources.list.d/pgavin-ubuntu-ghdl-vivid.list

e instale ghdl

sudo apt-get update
sudo apt-get install --reinstall ghdl 

Ocasionalmente, você deve verificar o PPA para pacotes Vivid.

    
por A.B. 03.08.2015 / 13:33
1

A instalação a partir do código-fonte é muito simples

sudo apt-get install gnat-5
git clone https://github.com/tgingold/ghdl
git checkout v0.33
cd ghdl
./configure --prefix=/usr/local
make
make install
ghdl --version

E agora você pode experimentar o hello world documentado em: link

Testado no Ubuntu 16.04.

A compilação com o back-end do GCC é mais difícil, mas pode ter vantagens, como a velocidade da simulação. Procedimento e trade-off discutidos em: link